Welcome![Sign In][Sign Up]
Location:
Search - ddr controller

Search list

[VHDL-FPGA-Verilogref-ddr-sdram-vhdl

Description: 用VHDL编写DDR SDRAM Controller的源代码- Compiles DDR SDRAM Controller with VHDL the source code
Platform: | Size: 1031168 | Author: 包盛花 | Hits:

[VHDL-FPGA-Verilogref-sdr-sdram-vhdl

Description: DDR控制器的VHDL源代码.采用FPGA实现DDR接口控制器,适用于Altera的FPGA,最高频率可到100M-DDR controller VHDL source code. Using FPGA DDR interface controller, applicable to Altera FPGA, the highest frequency available 100M
Platform: | Size: 776192 | Author: 张涛 | Hits:

[Otherref-ddr-sdram-vhdl

Description: 本程序是DDR SDRAM控制器的VHDL程序,由ALTERA 提供-this procedure is DDR SDRAM controller VHDL procedures provided by Altera
Platform: | Size: 437248 | Author: kevin | Hits:

[VHDL-FPGA-Verilogxapp935

Description: ddr2 controller, verilog source code from xilinx
Platform: | Size: 347136 | Author: Hubert | Hits:

[VHDL-FPGA-VerilogDDR_SDRAM_Controller

Description: DDR RAM控制器的VHDL源码,实现平台是Lattice FPGA,功能验证通过-DDR RAM controller VHDL source code, achieving the platform of Lattice FPGA, functional verification through
Platform: | Size: 677888 | Author: 钟方 | Hits:

[VHDL-FPGA-Verilogvery-good-ok-ref-ddr-sdram-verilog

Description: Sdr SDRAM控制器参考设计,很好的-Sdr SDRAM controller reference design, very good
Platform: | Size: 894976 | Author: 姚明 | Hits:

[VHDL-FPGA-Verilogcpu-leon3-altera-ep2s60-ddr

Description: 一个使用VHDL设计的具有强大功能的32位CPU,这个文件包含了与之配套的DDR控制器程序!-A VHDL design with the use of powerful 32-bit CPU, this document contains a complete set of DDR controller program!
Platform: | Size: 752640 | Author: zhao onely | Hits:

[Software Engineeringv4_ddr_sdram_controller

Description: 利用v4fpga实现sdram ddr控制器设计,很详细的,很实用的资料-V4fpga the realization of the use of sdram ddr controller design, very detailed, very useful information
Platform: | Size: 417792 | Author: hesonwhb | Hits:

[Software EngineeringDDR_SDRAM_controller_verilog

Description: DDR SRAM控制器的verilog完整设计文档(包含有完整的verilog源代码),-DDR SRAM controller complete Verilog design documents (including a complete Verilog source code),
Platform: | Size: 475136 | Author: lipengfei | Hits:

[Otherddr_ctrlv

Description: ddr ram controller vhdl code
Platform: | Size: 55296 | Author: heyong | Hits:

[VHDL-FPGA-Verilogrtl

Description: DDR控制器 已通过FPGA 验证 大家不要错过哦-DDR controller has passed FPGA to verify that we will not miss Oh
Platform: | Size: 52224 | Author: kin | Hits:

[VHDL-FPGA-Verilogzbt_rd_vhdl_str_v1.0.0

Description: ddr2 controller功能控制,里面有四个模块-ddr2 controller functions to control, which has four modules
Platform: | Size: 1688576 | Author: li ji wei | Hits:

[Other128Mb_ddr

Description: 128Mb DDR verilog源程序-128Mb DDR verilog source code
Platform: | Size: 23552 | Author: tiantian | Hits:

[VHDL-FPGA-VerilogDDR_SDRAM_controller

Description: DDR SDRAM控制器的VHDL源代码,含详细设计文档。 The DDR, DCM, and SelectI/O™ features in the Virtex™ -II architecture make it the perfect choice for implementing a controller of a Double Data Rate (DDR) SDRAM. The Digital Clock Manager (DCM) provides the required Delay Locked Loop (DLL), Digital Phase Shift (DPS), and Digital Frequency Synthesis (DFS) functions. This application note describes a controller design for a 16-bit DDR SDRAM. The application note and reference design are enhanced versions of XAPP200 targeted to the Virtex-II series of FPGAs. At a clock rate of 133 MHz, 16-bit data changes at both clock edges. The reference design is fully synthesizable and achieves 133 MHz performance with automatic place and route tools.-DDR SDRAM controller VHDL source code, including detailed design documents. The DDR, DCM, and SelectI/O
Platform: | Size: 132096 | Author: xbl | Hits:

[VHDL-FPGA-VerilogDDRSDRAMControllerverilogcode

Description: 这个设计是使用Virtex-4实现DDR的控制器的,设计分为三个主要模块:Front-End FIFOs,DDR SDRAM Controller和Datapath Module。其中主要是DDR SDRAM Controller,当然还有测试模块。-This design is the use of Virtex-4 implementation of the DDR controller, the design is divided into three main modules: Front-End FIFOs, DDR SDRAM Controller and Datapath Module. Are one of the main DDR SDRAM Controller, of course, have the test module.
Platform: | Size: 477184 | Author: fdasfds | Hits:

[Otherwb-ddr

Description: 基于Wishbone总线的DDR控制器. -A wraper of DDR controller for wishbone bus.
Platform: | Size: 53248 | Author: bob | Hits:

[Otherrtl

Description: ddr controller in verilog-ddr controller in verilog...............
Platform: | Size: 69632 | Author: guanchuanjian | Hits:

[Disk Toolsddr_contrl

Description: DDR controller source code and test bench in VerilogHDL. It is very useful to develop DDR project.-DDR controller source code and test bench in VerilogHDL.
Platform: | Size: 4096 | Author: leos | Hits:

[SCMDDR2SDRAM

Description: 使用MIG工具生成DDR控制器的技术介绍-Using the MIG tool to generate the DDR Controller Technology
Platform: | Size: 10240 | Author: 林果 | Hits:

[VHDL-FPGA-Verilogddr_100Mhz_2011.03.12

Description: 这个工程是用xilinx的MIG生成的对于spartan 3E的实验板的ddr的控制器,我已经能够在上面修改之后加入自己的思想,包括两个dcm的模块。-This project is the MIG generated by xilinx spartan 3E development board for the ddr controller, I have been able to modify the above by adding his own ideas, including the two dcm module.
Platform: | Size: 6132736 | Author: 张元甲 | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net